From 975d566994360ad174c2472e64a3a79544b0f689 Mon Sep 17 00:00:00 2001 From: daniel watson Date: Fri, 8 Nov 2013 10:06:14 -0800 Subject: [PATCH] add both motors test --- arduino-sketches/both_motors/both-motors.fzz | Bin 0 -> 43125 bytes arduino-sketches/both_motors/both_motors.ino | 49 +++++++++++++++++++ 2 files changed, 49 insertions(+) create mode 100644 arduino-sketches/both_motors/both-motors.fzz create mode 100644 arduino-sketches/both_motors/both_motors.ino diff --git a/arduino-sketches/both_motors/both-motors.fzz b/arduino-sketches/both_motors/both-motors.fzz new file mode 100644 index 0000000000000000000000000000000000000000..125b6f13c8dbd053a6b6d48bdea4c9b7e91afe39 GIT binary patch literal 43125 zcmZ^~V{~Ot)IJ#7opkJu)3NPzY;|mO?A+Klwrx8d+qP}n&ZK|uyXHT$X3e*I>+IU7 z+Ip(ak(UC8KnDQ00|9ZVf&fAK8a1$SH2Y~~<7i{=KxYCt&(L&O zsxJX&fz)Yfx&%@EQAGSZdImT>DjippCG`ax+ zyG4=WLhBa3f__8x@n`?MzrS$460h}AFHf|og6UE7H{#SD|2~@5sOJ6ozALZ$zKHA% zaA-{B-5~dW2Gl%T^S_8cLx!^$d<($Hh10J*RP*m=c?Vgix2g1cn(ZR6AuqcIJVbZ8 z0t}-+59sR})jGX3-Y1_lYd_+p*?0HHt!^40`9D&;8rAxPqZ)VbyS(_Y zkod@u!!CD+tqkN^JgNz0D^lKpT8JIACTWuofFDfZHOK4oTMo5N&uwn*WYK#M)ix2K zI}s=Q?ze*^&x-VaUI5j2o6A|5<#E@v%pbv?w-yZ8a-W`cI=pnImuih=_p4=}$tHvI zTPE$v?+s6x`A8&T`}14dwFqhW!+ECc6D!_D($jz*LLkKuZ+#wo;A8uQVs4O z!N-St)@>Tn$QfRl_^U-lV)A5@szI;EdsEAUuH_VGdtrocZ;e@1pRqq4%f#JV&oBwt zY1}hqZle01I@KB_K4$+udh13N4fEgP%053}@-2_NydL)7ZI1436TdG6%U%BA*HQ6Z z(e_qaC;^AadUr;ak#+vxLXhWfPB1pq5ZgeZ4>7)3E8lc>Gazwh*_wI{2gF7OG}N zp)*cqbAmAZNv^g?&1B5aFjjo?ecumg`lbEc8}F6I-`rvQqk2ztH3=Ak5F}#d)lsiA^4s?UN%j!HWk1m^FFUR;50rZmg~@ z_g0k{+&1Gh`!C7&_B*g&*&&C(^_}T_EN7PZ@ZQX-@Y*mJ&;tJ2+on0p3!5?699SFs zdopJ*GAARE>+sX93NmFAJd`1E=NSm_w!KDoKU6a#K+X2H%Eam-ZG^gaJ2EVL4!qr5 zsb<3$2D|+6`8R6W?P&Y6az8J)QAmS)i8D`E<#l$96^M3u%Kn3p4577^1NnMp~hF*&rYHIjAYE{uE=V~}p zab9)f3Dj~67Fkm&q{JwfI3q;rq5Cz@axb)%JfjEtZ5Q?WnM}@Q>=Qsr+-iCS!I>XG z3YQxHNb>~2RnUp)`mK8z3E+EQYvZ(x{p5GDUpRQq+J1Hto6enhDE`+@>^569Ey#J1 zhQdblE}JWbWviEl-bVC38_=9X;JUw8F+`wDv1s7#1#qKjfu0}jVW!|F^^nh?<#niK z9$EB{%L==-61{Cel-2lK0)au2<3LqNjp|U?pAPeCAof?mqOIt`oXe>~pO~VyAdB8w zj4;eNeg0-+|0`#Od%fPFhIMb+u%BM{S~Mpk@kJ!D4}0i)J9~Oo1WFf%N18GZ_c@l= zWB(x^7#oYjHeS)vm15oP(UZVXHR0PG_9#~-;p}xtPcCWcP+f2?FLV$pR%Jqs!%i6q z`JT?enKrU~&zeE@ZJ6Rgc-+)a?o4CAAQu7SoA5xgmhQJ88Kx{a3%QY^&~Piz*lVqm zWygvBczhj)mnTQ#Q%8ww_{CSg#;3a@y`K~Qf0gY6RBCqO4FjvsSvaYSe!ku4cl_Q& zynq=d7~mGFBRg>k*sr3JAgwtjtar_O@>xdym1#-jDO$%`IfP<4lSKQT;O{$|hGbAE zBef_HXRgaITKLC@m9yEr9mkCRGI$B*jp{L?Y)+uHnON)0H!W>J@_El>l|HGxviZ!@ z&vOGwQW90B|DmxyV&@kZ8a)rYD^;KX4`Nh)r*WDx=}FzWgcU@bLZ3NK5u$5L`{k_W z{sp8!8uRwznX2g@xY_Q^aqD%$eJf7rj`8NL$?Y4<+@iYnjxJ6yw-M^zuymDkO>;k| zR9&i9?2>4fF`jYe6&*D3=oOuBn1|TsjTc@Jx9R8HbHEZC@aG9Og3`El+(+kzTkWxf zo2|?jHjp#Rb9&Qq;s`#LBAB1*JJM(`{a&xOAbjsu6-#ct7aC1_Kt2R1ZcG;4Svf?( z*n=1s_bgPh2Id=94Ji=dr^LXy3+G|9DCWAr?ScvRqO5EV;W!2_m-C11(u zxpG=9ipyo0@-S#wIlUmr2|H%3NPZ`Etj*TN8MZ*EDv}Z%ZAO8xBd?C!b+83F>Wv)L z0jyw;w*1l-C1q+mz00yHv5Hr%;-$unZ3r#Cs+sj3xCbccI58?7)OCIxFuXbM5Z!*`Cypd|deR zbo=Rr;_Kg_g*DD?y5H5Uxc9qsfL!E9U1#J**_5I`6ssF%WEJPPY(W_wsC%6g%&s>g zKL6C$y(3*7=ex=*6)RnGpQ~)bxBGj7Vn%gQ*^!MoDK?Q4!MFDTWeZL$M9N}I_=F!pmK z$bm(kaxv5!b&`Do_E0|2?r!kZcNpHbK5)1+Fg4)VZr7krz)IJ;G?$Z;z~59H$Yxa~>=`X3SwZBs zUs0`dGkKYrcTG}OJU4YPWkt}<68TK|C~-S_ajVAnT-hJccea^uW^0gFzd1{JF5D@j zRz&vgHVs|&8O->D=LjT0Q>I6Dtv5cVIDGM@ltAx!d|FeX8giQ#hX$KxE!($(EcZRK z%_pD}O^@l+@Ts9tBaE> zyAsMwJUGoW$3c!i$vx*+#njbw@127^`vz^{p;UYJ%^Z9XX`XrvpqofcL%P#8oU8az zqpTHt+YON&RCxbjGpCwy4ISai1K%1A3fS?W{>()o?<3^Y6@umSylnVd=E*iMh+( zL7lkmw>^{(qJH%;Hqpz<8xLAJll3CPqus1vtOE7w{d67SPX^Bj^UEM5cR zM)*hd<$TAzNxeFs<-n-9qI;DGP5as4>R!_F7m#{5$qIA2`SURlJWz1^xI2E>sP?}& z>Yl|7YdvZ)4O(->iRs0lGvZ+L(&geVZQQ^i?JfF)tv|E_d%vpD%6UYq{ZrXHRY@hk z6CfQ6mq=bhBpM6n7h@Gt$Hn&Jp|m5mZDjw`v%sb*UrbW*HSyiOIHo@M-5TIaXfw!; zyx>DP_9y1|`<+uA0sp_sjBHMVAaZVJe7bQylK8>@RX(*5RUdbc4Gg99PgjgA@p&DT zU)U>gYHS>vay$EiUpvmOj%n-U&K88X52QC~%Nr9mo>ovTC~l^l1cD4ir6Jgom~DD<^(K(Sz-Y%H)#1=*4=(+3Q&qg}de1{q#k21(Fp2_? zcXsJlyci3zQI{~%Kw8+uv+!@>!~=?SJ|{|e`;DHT|4Z2QcyCt@JSm+?D=MQ|$ZL@- zf?fJU9K=^bGYip0EQRAn7;( zS0SQ#?4&R!7QCY>%1FF%ww;)o(JqmgZoUZ3uo*3{Nzq{Z7CJb9B-fFu2ZFy01{_rI zsV7_7^OPTA`}L({iafM>hvt<;8sllooSy0s`tQguiD?AXaF%g_xQ-nhyTDx#^?+Z( zIsP+xvH@C|P`jRrq|^-m*=zk}Z_FMLPCC;NHYw4QZhK^RNf}+KJjG&8ckH1tpDtG( z-8^q5-oXw9VIWuEf|A=29|ZI$rU;irUIq~f2E>`PxetlnaW^$Q9h2uU5JU1imVzm0 zfau}u<_RQ{gdwM(of}#iw6uu+K2up*11Mzm8RODZJGe`_;H!XPz+7C3LMp+gLv9}isx4hGGQs#ZS~ zmh<-y?HZa`2ov6Tu^@SbnYbM!5|)CN6KEK`cDIUj#&Il$g8n}iR%WOes%m{e_-1M+ z@0PI{Pg%k>SSD84oXS3oBD+2~glE9Syga@AQV%s@eutxeeS zZ9TBG6kbuQv~*|Pk3^FCYx(LCsdMvB;4l>wRh%xBB}5sH9rj9x2n!?NeQMQ#fqA)L z;2keW@Cpj;7K+(krkXwS%JsOiB4qn~vNf{k7KWvU8K72RE%{28t!DkA-Q{mk(`X{^e=zS&CxOenb0_KXf3 z0m`L_|guO?S|Vur-Wt5B*IuV^}msJX-P7S*v)>AYaW<1moaq zKcL`{pDOu~2o-{ina=P+e!?8H)yNLRnnwoYCcsI(3NW^c(o-Uk^iq4Mag!(( zE}EwhDPz%e=`+Uu92k2Ho_gT$LH3I;wGtZ1g9*DbqjeY}v4qD@qV55ZiO=cL)wP&s zpZQ##>>i=AsuxJgE$Edm=2U|LA!w9AV~W8569vC1DGRZ{(;Cd zQ`S?)SgS4{0v9#GVyMKQ@ zKUsxYo|(jC&x<GH&|d&x41FP2h+vH#ot3lBS)@manjO* zl4&(224iD9DGG(-_kWd{=@1f?^^FghGsgxc$zqv#218OxPyZNO4O(zf1Xz%LV@!!D zNjK|;jjJn3*VdiYV2bfD;Ggp#f9lILrX?SdXJj-AAHHYRU;1%dlKqhHQ988rod|of zAXDa0OL|!!@|oTJuPH$XH`E17Tx9>9gcNMN6-QRZHtU%4Y|}2Phv8-a|KjUk8!&4z z;qS+UwBG));R`PD->bqNQ#`ZmjbLOOGYnbIze^e^o@_EEdL5!Hh#NoaetVTMzY~}> z`{X=zan@}gf^J`9nK(*GdL1{?rN7x;_*+8adT`*y3TPh+USCuG)@JXJygU6=U{3o( zd<4i7AXzN5BAJrZ=+_#tbtO;pi=~zqM!VimxCdpj*xrCS`TmC&9sPAwf3JakT3h={ zIbqxxb%aoS@eYZdb4&^8*>RZqjqc%Xu#w@sI-7iAWLm-{!ucZG@Z$JuM)c*{!@QTK zN24h!UGVsK=b?LWujd2X6dEoh{Pe0Dk95$23%UgMKWt~tP zeP|xTsw6V1&#lP!z$$7a0C3lIOR9l!#_m$L{4@3VN1r5+z zgTcpQ34mh~0G1`f=qQ>&Q@jcQ`l9K;|B`tQq`K#RK~YBEk@Ezuh$q<-XKdRxA7;}Y ztOJJV^9aV_OJY&~&w+II_AZZ1dA=~TKa+O?IT~77)X2R(bZdo1w=Id6DBC~H4l`0r&xtG(xtX^$Fr1LaU!gw z$jT6neOHb&Q_hu2IdNL7f6PigSIYCUOo`rJJvWqv-mrCRy39cE%K4>0cx_3xJ>I_8 zC{0e>7ZM5A4yNpzk=6!^YK%cXrk+Um|Hu);8$3b4wZj`t7q*+$VW*+y_+`w;a6dKx zx-476g8N+5KLDz+NpYbL0Ur4Lp`qY-p1AKQcUs)+%#vj7A31h~cLb~*^-Kqgq-<^R z8GtOMTcKgfy|Pc4msu4cg{!LULUl^w8H5rIxaahi$GC+Kn^J1Nl;36O6jP73k5eD` z@!b^fFjNT!Ihg>l+mY>0yjH6*=lU$UWMxK(zgv9}yhuE)DL!tc9|7hg-3jJ+{in$Bj$SpmMPY3DQo>~GcNk3#^425)dN+B>k&+1p#lDfAL+ax88gU+)$FhF=Tfakw*O?#B9lsU^ z)m8cVji{~kT{E9%8@|WljWGglnqdlmVLI>vtx!_fs$A&&tRkpu8w^DHpC|52?#_65 zu)`k1$T0YWtC^q8H!!o%(7VaxZ2$44TM3350nTkiIqz!5V3wR;P#FR%VP~z|^^9E^ zXH>|IJ7({iMyE_BXrmkLqaIc?$%|cmJUS}^RYweC|H6Clc3)iJZXr7v^m1?tIf&P zj0%Pwgz7VgFtnZovI}9$5r#{jNssNKiAJFMeoa)lQVk`XSQs40<;fJ9AO%sVudsWD z%Jg^ozgaQROY^S9z46ysCc#Rxng=mfie6}W9_sFfCT9%!*(Y$oPE7Y}vOJfISZ?bE z&$H>d6HL~wmL@mOR8m<3__$Y+)+OsQGX^6cN`wrfG<#TL64)b62-M87x&%Ecr}!Y_ ziobYL*veIhWWgA%k7(Xay zu?eZ1VS?nz*e^K-<(nmm7DU+6K{A8@Z;I>1>HxXeV;G?G;pPnYh2N4zGMocziQ~0? z3a6JvGHL^BN&?3&2f5g15TpJgiPnevH!~cpDGnSv`@dm|Z0_a5(leR(p;@~SHJ#t8 zuXC!;vQ8pH#T8qRkD@48cfE^iatO|O6>w(gyyT(U zyoC?Nz?l)x03?))P{uMar{x~YU%-e8To2s ztwe!E$Ic+w+c8NQ@k`A}FzH9aYOo$i+GMYDDx#f02a#kqSvAzeBK}{x3V}@8j&$0r zSu|_z^uu}9eBBCa_Xr{m)jI+p2J9`R$+EPr!;u5UchRx6yZL$5elX#bkQ zNm3vW(l$?3Q~ ze~ChZuuX-0nJ2c8F=J=^UBppjdmfUFPQ^8apaeBA>7Uj?xC*XL{gISyrOk;H z@bp6N=sIoE16r~rsvNqZ^8!MzG4Us7r8IO4TzUW&Fwv?%!C!i7J7Hs}VDhJ83CCHx zkB?^mU7)DA2@m}$iGKg;lfXbAb>(g=)*+-Ay5@fs%1ESU9)m4+sg%dQWeLqQw8CR3 z>qt1FizRaB!yj&^HMX1CIH- zbdxikDBwGls)<=T{XlhNfaI#2B)25u)fNdW%>f*ulZC5L;w)v$+91^tFt-feQmgdH zfLDw_I#u$y7V?n@t*&es=BS6p?9E;AA5CWu$;qsR zy}%71pz>pJ#%kO;VI`VBx`dC@Yv~!B^&pnLK{rN((JwvvL|;AM+YCiRAQ0Ti)T#;( zmrBrW6E_ff{;w4>5L?SH1Gg4AR&m>NJnnyu*CB(I;LSVT?h}&EE^l;5>=RP({s&#f zp>x&8jF;pS)pGR5N(JY_wit~xR*qIyrj-2H62zJnSzzJk=ekELir|=7^?0LO*a?{E2oKySUya<+h*V!6j*<7G(xDiSInG>B zglm4tstc&7xU!D6-mYSTTGkwJWb|Jy>5V9EYccp5PY{>G}cU zr}Ed=<9l4_3p_f4As`~Cw*KMn-9TbK%cV`)sYSK+@;HM5J=v9*_r$YA=^7Oy`3nIO zDEMmAa&Rf?mx|l}4`y!A7gyHD9FO92Oy&`PL7V{0=^HCcrWjc0a~(_`cjLEH?l5UG zr}Zchm4uKSels9)h0!Iz+aqSpUzG9s$^b$@UX0W;Id>aB5*T#nyzZyrJs=JO341Qo zX#lo1T@`q4yJJ)zaOHo@2`_Hv+7)Y*IwLBj^NgIPpFZuW(sDwju4@s+F_inRzLspz zycpe}d)q=$SE$r8d!ZSx!Cm;;je@|8rAY6HB1Ch~`pzHS5tP%QE`g9ANL}n}_VCEZ zWtNyPt#Ty5wVFl}TJbAtT?m?}iN{1-yl3u)(tYQgAp1O(T`42!UKR1eb`OsPgyN&YV{;@RDu=59|1Lw!5^%SsVq(#2Qg!rI5K&52eGAnTDsXOn~Ao;M{E9 z2+wR^aj=b!`Gg$q2IT1GyR0qD@2HmZGbk{(CD{iI;V*zE?vi1b8E^8-5}Y)&gSlXcl1}q3 z!=-hiSJ>0kA9bSTL}PO~Guo_Q?t+^idMKyH(=oGXV|tl&1|gbym$U>_kvd+fdB?ED ztOV&-I=+)Yssl*vGzY#WE-m3D`7jQ;dRCeByqs7)0qvcX0h_@38I6`Mm6k9q=Kta) z;JMM|Q_${hfT_vsX=_4zeJ^T?uKDA2a(UTsm>AhQW5SS7k6jutwrZn#8vVw?^y8!=g;hR-k>fndfKhs)TDWNg{^9EwkwA>4^sMLZ><#_oG-d+*3 zt}+Xcm`gin9#=`!i7h*Z70evNaKdM77;KzKX!iQJoC=>Q0-gP39wW7ZDc&qmw*W)` zSVa}?=dB1vkAXriB+)dO`El15d>P{U@!MpI500KWH~yP1HuXZGW@$Q#&mvmQjtfI6 z^rmQr7Wy!jn@t;i3~y`T1OLqhl^YJ}WmSElUmQA0#e%ZYJ~k+|yj2WzUT&5sLEC~2 zxmLmOm_0T7CCkql4ph22-c06IY~XN$7cKjiV8F@y73(dtj(6@3A0V?~Z9v=?X(1qz zS%7q6$oUH_3kL=fc08ctRG{x&k9Nb*?Q3I}18F+H&d>9&x@R-(a}C>za*o>@AXjGG zYvtP2`fdWk0h8c}Bz_>ZgdGQ7B+Z)bYCFQsQ{9qged_`wEI9D^;TyN;INB%eYNn!d z?iZwviceeSa-7o@8>CYMkH0a^q%KqV?lY-WCKFK8e{O}m zioJayMB2x4D0w04=Kaa;;H-!IunHM3(Wqj4^QOXv%qSfwxh9p294T*gvd|R{nxncn zxBc_p^F@kCqU_+L_Wsh#%k0^C9jNrtqq%YV%Cta&QY|*KPF4=t8^(HwqWpYljA;FF zA4jTmVMDU+h+kcD7Snls5jT0=4FCrn%{XaCBZlNvH>8)vBqmAp!n-FBuykyF^k}aa zq-@_+pBDZw5D=;Y7ZWKs9X+}aKB5bp(jzh}0i~y0|z&5+7wDN7()6V*HCsKnA zwgB_(qr8JOUF9Y6?bbXU$;3DLY+&%}wA|evWHnoA?Kq($#Ux~H_$dy>v7P%0$vxMPLUOJ$~ zhTR&Gp)~PD5FC|%gmlnTc%G|W(mHq{;%ilR`m8p+;}P7XNT3>38-9RMMzd$YRV+bx zV-%uj$l*VLB8m9a!54{xS$WsuBN`9*+BW5X*GJh+w&O{qy7H-2PQE9pa(5}A`{wFZ z$(&KRN&6}&*8C29Nc;aaC)TE!QPhtVJpWqLoe~{hM5&!?5cy&$w7llv@fwDdcmddnLrPNz)(v!1i9@H% zpOVBkKO8LD3?_K`O~-1nSvNpu^R8Df@45jo!i%oI#Uj)!c{>%cZKb*7U`>{)WJ+|vJEWCky*FY&Ti?6YbV!TR&*`2Q@H!?gBUAD z>AYc{rf`nWz>CxW9?3i(h8M6Rby&6aNOV}$^%Vrr9wPJqR;;00T-g&dBI?3PS9}h5 zGU=J#6JGp5mx#GeEdXvsVtNW@Mb)KqTenAJ)8ueYK=;@z@vX71A0UrH3&3|P#pHyU zcj15;oJGvs!P2dKzR{i)ZN`^s*_G^_P+w3@Oi2$)75NK|hO2Py6c{l|uzMQ%U19$} z3snADaK_j{i%In(aIr%`6Rv9Uj#e`z3fb7yEie#ZCl~nD3{U11DQum8?UFrF8ReCv zZ<0-%btn%&goXTcyp9tCmq=;aYjvFR>c4~bB_LQ8jipvY*FPy+|4D)TC&kgXC>yq| z0kRaHM-+hRssbG63=luYW>*1%UHTl?+?~69Yy8>(Ojq-bOUf(zFCkGABQjC5o-Ao- z1$Fh4&RlG{T@L>a<@8A5+A6EqIXs+o_#}UIYDw&SjUXx|W zA{k@u*jI#Hk&Lv_soQNc(ceQ*rYyDyXfny&)7=6|=nzb|WDeo8AbS;V{&QFVrcK{M zK#YI1$MK5U@!`smwJ70nCV|4kf0sl@sK%S3vw6bXi&QMRH~&J9l)5vlUv%(9PFTs? z3SL>w8^>Rw&)zJvpN4ie%uO9BnSeLAV>6=dU+a0pxnmRYqhm^euZ8z~mE~c*ftjXH zd)C*0)S|tz8a4Q-C0i8T?P3>QRU~E+l7h~|^`E-F|I`JGx~IP?O_&)6LtcbUmpKXN zLWT)&p8(VNI%nmQvvmIrKaysFPz}^e-m zP@kQHQ=fyGRiByD#Mp?5nS)bTmyO+sg_V`UkVuOo3L>h8Je)L8Zv*qQg1u2 zrfrkPfbN-9|LNl@#{gwW%2`tAobfUfTscm|)F4q2hzxq3`2NDF8vrpIEnz;-BXWJ; z+;O;;{s#?kPob?|Ra>r{DP!q^U@2w7gGrqxcUCmGids`usM|Tkf=Lf=PF7I*dD6a2 z2Oj=jgBc~r5!Ai(h+0#Dcqc1u8BQdGMh?28p4&%CX&0jd^Ghf^<*bGO^8?MnmO)RjiEV+X<5Q;SQ0<(6oE1F{A>#^e^*~D zrMjQ8GYvj^z@(58ZH$hJ{_8@JTm-#hO99hN0g?kiKS^A&T&>A`|LiIeL-tMU5$NokA2fXe|u#!4R7~9D+z1 zg(8^|9a@@1I!JOOi&NUQ>euh9r+RBdF5zl1an#<9tLXS&u0Dc9HOQ#Q!Z2jpB)0qV z1w8ciqJrWk{0sOCv6Z&JuT4{Ge_zkyn`fKe6%2=vY<&|G+9Bil&ZtLnC$I&@K?DNT zCu(Nql1dtE&IX$=>wZv@87+Oo#Xn}5oA~?Dt#!slubtMBTd^Vf;h`f%TbEF&NJzC! zS+v4thAMSk>y*0!gAk=Lv<|`HbT+5Lkvd-Md&OZYnOh`uzpm*iS(dvf9;yZ&x(R_{x#d}LEOry zo_$2qN=r#LtjeedV}wJnxZRH1!?!xliE}rzj-q`4r`Co8Z-LA*)a*T4XY{F7+^N^v zrU%!oleec;%XMbq>FyO$A#)O&ahmj^_}iz+1fWNncUPWXNn_#Li-1%xR*} z!N$O8!fMFD{x9y>^o?2BIq1HmoO^1<;Sa`ayncilQsVs%Jj9!O2a9P%Z7?8x=tpin zy}CugirvKrLD1_9^J-_leXVVoK-mfXP9>-#NvvNg=i=m~qI!_D__9aX>G^K^@^Z2M z`r2s2_wLjdpqq8E(dO}b@-dE?_3`5UzRI65SS5E!XtUYY;_3Q&a51af>Gpc+{TAH$ zy4RULS@d~*aq)0{F@K!)I!G_~`F60m+1d7fa&h;l%g5(+zw>-+)A4*@KN*(Pc-i6l zkv98GE7$S)0`ImnznhfB7e=NdHr&p~JxsaL=KaOyFpFTw}!^_?4_CsxQVJNF#%7~uugg6i-b2Ke0qob|$b7yo=E^FKomTDsTZT)gTtuyws zV(`6Z|9y3{)7|Z{`Od+`&EfIs&E@l<^?sLAONY1PhEJzMORjTnxcT$tVt;k9YO}-5 zCa)TGv-9OHCETd}asGPj>m!>-J@yX3^V`Mt#r=BP;N#?eZ)O#v*Ly#*H@@|)d1uR` z#m|kkjt=+F`Sa1%$I17XI`5~}Sqj~yE55jz&X=?E^Hw#$_1$n;>*VGt@{73J!y4TS zLfce_j;E*h)`F_s*CPgCntZ$`^l{7_OjRU_QkDu>%+%r8?5n%S<7;b~ zJD>aO)6>J>_51mAOeQyEn@!J`GqtQ$@63#^Cl~YU+?^|D{K?ak)|;Epmp1#o7lfTk zsaK8fA0PAUugGne_(0+%7wb(U&M4*@hJ~|-H)8aG>7If_0e!h`UdV>TBgXx z%M0Uv>uZswkmO>*Bi0Bh3nkzcd4sFOjko7%ec$&FU9O6<*;x8I-eZ=pTTwXJ$0`70o;suGLO^#&bN!Ka=Vwk{ZY)%*Yj3y z{^R-8$&C-4Unq~qChpHd>f@)YgWgTvKQ#p&@4e%!-iL2@gLh@|%kOV4khD(x+xF&1 z7aswKx89y@-mXuk=ZSR_Iv0e57p~iJhj@4c?;f~M6qD~|<&Zkpwy*2!-1_ZNm)4tY zi`U2TBU#8KI3FKtvt$v;C*z#3&Gjpa?-7$j>!aeB5JG%Ctwy~E&gRTAId~e)EVpD2 zsH@$7m0BoSdAy3G9-BSJ!gM2&UN$!^cE>V4Nj@IlcUv1jy<8eTbRld;Sk~Izo07bB z&^sSa9^Su#X=B~%aVG+Xqr54yqECLJyX4kC@8>yEMMS?aWhT zBn~J22IIsiqdr2MGK1tyB^)+`oJXx(tzKZ@f%}*ft{t)v)6c{^qotdY#;p6I-iCwL zP5!m^rq`E?@l~}djuFgGxQ8tM8|@Lqz=V#cv&X2Y*T0{)4ccSabJS_hUAB>emCFCq(5B!Rh zb-3!b)WXRf(=2b*OzCf8`RwrV=m7Z#_fT^kz|U*3z7w)2oWtXN2_1a;o)K}*5E1&i zB)hntf(Vv@<8)=HL-a-|d-tfn@Zj*LkGO6ue^Ex9jd@Y6Cp<*?wh`MavtEyn!QcDX zjoi8cosXZ60`GUwhpU9iX*~Cx{2c5(lOE15WcMjEamOh_89Ad#h|L^Q9U<>b7E~&Q z1~3^>9!^v+f1?YJ2+WXfmvIa$mAzK0j* zS5{Q-2d1@!tW42;g@a)Axj6kpYH8NUJ4VFUUcb}fF446Udru>9{!c0g9mtT!Ieu!z z>71-q<^f)ch0#i= ztd`m-Nz{@ej8NmchO>y;7M0g0sr;n0Fg8aNZQ0_VcIh21pB&d1BR+5U8}D(~;S_I& z0zH0cxwew14t20U5-)CSKAz@;H{Ur6`I;fHWGHJz^g}iHa^Xn-ust3#*^e>Vz4eh~ zeK)SW89uBcRBMvwV*NOsYL=@jtk=Dv@cSsG=cu@N*5u-C=gwGa9~ro}zI+?jn+fLZ zEKRAqr;C+#>kOSjW?@Cb=Aqb4Ukqa`Jc*f+p z6?PSa7^DXt3=*ey(zbFo>S9e8aMX;?Sp(YUXlYbGCDFFt!VtD%mGxDb_j9C3R{4US z)HGuq-i;L&a_bd~;7oiaYJxgoO>|=#Z3reWFF$P`g;3T|o+wD(%51#DMgd`C*R6|< z$W1p9IhLLIiP6kHk73Ct-tXtV>v`o1DdfADf?O{LUrAmKQvj^K#UmQRQsug1(nfca z-{Wz|<#J=A^F{61zWjc7y)g<5>1E!r&D-tOmg0G~Bdt7y)v*GRaIdgW`b{NVx7_?}d1PsR) zJ@iy28<#Sp4%v%J?vY>h`c?C1)+gvq>z-U&>$zyJ{HMkXuBX5SXQ~A3W&R$@PC9kn z?t1f*lJJn~M}o`fXV^pSU;9%{se#%}H$d0MvEP6t;jq*nnE8w0mkEn0@!wpp<`W?u z#_0>3t!ZDpGs6nT8h+;-)5VpM*os1(E^5{laFs7lWtpKoRQ&~HEcCPn^?;u*>Jo5e z?j`l>;fF2gjLbtWGloiDOgyxWL-4HwGT8otdaC(aFN_@l_Ra@64A(DZa#xS~Bn%Ij z?(vh*N@bJ%WK-%nzZEG#lMWTx7ThVciSZ{QYKA##O**xTf1iChep^w#dni7_b1+s$ z555wb_>uXCt%i~EWPY`rEMP8DwNC9ctK=z3?)m0jDAiciqYS}LE7l7}%`$8Jo@z78 z9HnWKa`RRoh}s)Dja+km;b6FULPs}{A^gM=p(Q$>>y)rnq+}w9>3*1UHl@KiTBWN) zi5cBF;(;Vz%`()Y(U2ZwJaL+JFOw4N0|(5 zR0PDHvrgEW*s@nRiQ}VtV7lc<{EB1NMPFg|g3hoxdd+m?awO}e4&288Y^fKVVQs18#Lw_qpnn-efojd)VWIopXsEEPk zhRYkQt6{aIsl`%2O^cC(qxPQIdL5_`t@zPPaKz>u>O-mfC+%+l(7N_a-~CsyyWe(v zIAVGL8nljaylP?j%7XRHag2xH(6`sJRXHw>)wN+D8^!ssD>)zaAyUY)t&0k)Hn3f7 zjUGbJ1D-_C5or~yX8SrNRJ#~blL)?byye-5&M2B~0j5NU$jGm~U3hCq^7r94|2m90 zXOcXU&C(}ycV#S=f>lOpTp{I~zp%vv=DWX)cYgNFTq{0=MqTNYUFYq|;KzFU@DNy; z0^zLJdilW9$dt8ZfmFEhb$<*|simiwh*_U>YLRn*c_~JqSBbP({gjSaE7#2}Q*Ad^Xx&4j0C?B2-=}<4y1DGX`F}14Nhh3PcGR zf$4?Bj680$8n5%U4hpC9KzrpC(I4Gi8y53`$`B3!;wS-TU_X&2HMtjbSG%+TA3n3@ z>2)CkV!DCNavbZqeE$;A9(bZC4WO|KVM+5&au{q#91dBnoQOwQntwUiZS+E^@KB{h zSIb|vwU31$s*hTGk*u((utz!VAf6G2`Z?qGeP2<^s&w#g*wI#>|??*vKZ(uzcxPMJNJ+kqnSC6x&DJuZ!D?0KmDnynN~jo-*%uyq%CMKp7j zYM+CZyw%-A=_AW9wAiw;DN|0$-rrt0eZg^f;Ozcd2K$^ZHVEo|Yd159LZJqErE7?` zG)?_&qNEwJ)K%QYtTk<=Co|`0NYqNa8_WXg-J4B1XDr;h;U%9S`=f}uc8fVkxOl`_ z5I>nT`zNu$SfNYc{os;}736g|UR9-0#K!ZGbN2;fvfoG^~CdUFLyyp#!lG@Whb>me+lrC$p@Bp%K^|4UF)VF$P!+nTgEtA>s>jTz8r9eqYyUnY~ zlT(Sq(bOwJAgRg^vIEN%d2vWlSrNhVAaWGV&yG<%aw`nOlx>kNeBmUf*Y42N;4L7B zW~@N6S95%1(vbS5Bs<6sXD-gMdzR|iuB2QL~UAYAy^_wsB&`dT2LAP`=RPiWD5;Ho!1y=~HHq9yao^ zn&lx=eodSvo@mX)ode_l+e z*q+LI+;~i=_BzY{?xg_P6qV|dQqx9xh+S4BvIsS%&1JBG%GpxhH5I9i*=sC1 zjEB#nFX}6YXDY*opKM`4w_H(?jjBeoY9#QadVK4TUnICgbI+u_o}2%QpN$-cxT`Xn zE%GQ{J)H~dg0D`;BlptGPdB#@}>~S>w}Udr?iWfLz(9^zP&Z zVBf6~z1Lt$OFqPk0cIWjL6e{|kXnHh%p$nbd#phe`|vLMMUaXS21F4W?W@YZcz#K;}fUR)?l_2nyRZ=TgEHq8(G#R9`K`@TncS+k@j10*1>n_uQh% z`0PohrPBvbD+4{Ns2;HWO9d?|741Lk2da2s)7y1-WhVmS6;qJOLj$lfFADWz9CgsT zBQ7l{d6^PYz2LI(h~Fs;S+|Q#tAz6e7|;wPhSsX4het@$)Lz8t(O|A*%pN5ra9gHw z++8cdHuNWOG%_No$hKY1iFtBq)6gkK=L&xq8V2YwECC9jbT;oV8mT=0fY_Q%JxG@y z6EdM@_|ovjQg$-N>6vS3q_w!|@&2veOQBMy7CgRs3w>GV?y@~x950x57mgEYHt&Be zC)_&lzHwcPm83wB%|bV;8NdN@s=dB-4)-xg7yty#I$aYZkyhv`VLm5@5foSA*&TYW zyF9@A;H2Ip#@S`vg{YOZpX`e7?bu+-y0qIBbV7VbMMBDF#Uf^;2`LB7Lgrd7l+&bE zPIR*1&33dtgM>3rgjF87iLzOj=x-|q(9}oRN;IWQ z8BScjaaS(O-{$W=Ya96)p1x1?aPb~z$u6q#u!$d(NdLzo(=r_uA3B9J`H7rQd(J1AE0hBU?=FMvRWP|e(4q6@U+p(9W zM0kHGzg$RT?(=8hvTf%gLMa*;%U>XL4wy9h@&WHwO))B)*`juDcu8Z}IA6JjOlKN8 z5_i$-hq9v)NR4+V!R>~78mOo#uZ)<&y@tw%+d~_?G=zTI68iO$J19HG7EJTTIKK@K zQe5e<()4X{c4*pc=m6=2KxiF6Wy8R0xzc2iE zeVsqj+w1N3z8La-oSsxMoW6=5MqW>b`o8Grrj86HCexLa?bwB!io7Y!Js?Hqv&Q@u zp4PeUlk^eDm@)NHnS|2LGxH$YpkQvT_8E>Vj6W+yG-%dwE=kMR({%q$u_+@kVPzCX zsT2yhkx}{+`V%aDL--m;$+OmmcftDV0M_azOpW*c(4iRF0m)Twhb^tbO$3?Ic@j>h9W`W3EquB(k`QBk--pNH3Y4HsEP^A<_nW0pv3T|u z!7lk#WBU2m1yCRv=%|!Vr|7Nxg?q3Z?P)5hl$CY>P6brEeL!9)V+654h1}Zt%C7{d zdY#AGGV_!kCkfT1R~UiKVQhmsecj)a5+0+sD>(EG?$#waEub}s-1$ceZoI`Wz_s@P z6OmJtAUkF@dTi-P+YWoK6UO(K8GZSJVKf$pUS;;B)-(dLPI32t##ARwkQIu9l%fVL zR3r^$d}U=B@9EfLUuWfZ;q#|tv~Sr=)!cq;+xQ46x^`|1d69I*@6XeV#Z$tgbu}ux^Sfluyu-PiTmKA|~q|~-7?iw!G7Wz4Mm~2-UI2PixTg;JTAL(d> z$Zb6|R33r+x&DsCYx+j>#xb@oTP{2%f43IJt%jxRc(_{^bQw1VBMb(F;2nC-Id=$l z-7c#Q3TGg|YLQ-Z1!+!11)v9I31N#>tKSMkk=b2iOKgm5V*_Zr-6RK%6K9Hb+eVM= z*)vFtw~(lLbnz*Z&SC4}mhmzXP1}AeqOw#O2Cs!4O|K;JP9Wv|vHqD$K<`@6&=~%? zI#-v~b$aFu)3%J6@u*otGrZ7bX0$)39>t9_iy9h|b*7G?Z&FX)rU+^tv;CMqUfK8c zT_n}9*nOdH;)nxwDMf4MH?FN}eqdI!Zv0|iyLx-?FUOEk3V1+dMxz}TPUX=1M@lTJ zge4-|S|F@V6t`3w$B|Z|lv_*#FVm=>RWat#ECR|`FDGD!Zm{(Qc)W0+E1%yR2a^Ar zN5KINKAAl{Jp(uZJYi@_AN{sK2)lpckISov9)zE3K`+`~m$lA7*8#EG!jwHXvV!{4 z;E^?(;<+6NmeIHs3Xoa>Yk@19Oio8Qc*7v4N7RqxgmDl|7c4Tt`OFI@K%M;Sy}>^s z;Bv_FVv)7VZkYwqRhdWt+W$d^IyRJV>A(a{HlJFzU(jLRO}f#*K;GV|GvO!s>qF+; zce*^rp#czVWHZM~@-QR#+pYP7zLn&sy!R<@Fc>9SGlT@Td;W04{ggrU4sWLj(!`bB zC?WO&8&AAML%6;DX^vn0EDJ~X@>SaetBmo-C{TMmSUspCa&X}383w3%c<{oM8HUvy^wH&5h+A7e zfF)D-ibZDVn)-=3o@`t2UujiE%ub1a;zl9NwMl={5`JE>Vk^^ZZkd-myKbfkv+~~L z=)G(HgCv-$^5BtrS-E&sZj1BS0VKRBzCm$7jV5VJ#Nl=ns8k?0M&-#O#^jVtEFK|& z;Tyj(0;rlIOw+pFpeAhIj z+0}r@5hpu-JlY7;*Q7!b+CE5L%4;`5MsLoiVxyG(P#r|a81sGvl6_nuiO}Gk`7j;J^I8aVkRy~6nDatf)&atbYl`t+pUBV4LA+0w@i78S;HDebQ zE$h@M?sSX>DlSxJ{HT_=F~~P%O}|!}E+n1weruEta;Jie`Sv`Gi_;+!P7e}trpE2G zMS~S&TLF85yn+m-a~^x9RYm7aShnb|BLmE9b9lg|+*W|ISbr9~s5vp(++Z|iHv_}S z=R|4rw{XQgQNAfoc{h9kq$f+^VW?m!k zT$;e@&0LY`4&Tv}HLVqEfn8Jgo=#(0M`JSXA$_ zb*N$*ha#)F<5bRQAy_gbxQW7g)O1Wh^I>WLgn*7*K&wK@z9v9DgzZ9f6(GDz5w=Q# z0U56E=1Nz~#ex#~w44B+`n}r;I)-@5y)EIM>R)mu_l51O0FnK)eHj7NDcmaKI&ai? zUQ;DSIakr$jxbv$VdGsCyAoufZa~BMmdxuysIu&#IUha`CzpjaM4)jq!6t0v;C;#$ zD8YV75c_TK?CLdlME2HX)~2BdXd}8Yz2{Cn8^(@H3a7zNZ)>p{c6WGY-QcLUax1WK z;;@;mQZEZPTW@-KeaGA-AJ=L@0UbPb$88EV2ci)hWYzAbq`6Rf;y$YceH za$_oKPCZnv6prINP9}2JB*mCUAO}{sKeURdJytQQ4xDUu#01_-0pa={y4NQKGNjWy z@MZm0m>zj1m}(e!pSv^uY}d7S!Pop^`w3_c=TD2BYG-eF4(HGuIF!xBj7>N6a5w!k>Ij6~Fj|;m^=cZ%YY7aj1So#|-|0aQ@ZfgufT#g}>b$guk{2 zVZSPJ(OLdl16-{J1DJ{+yiiP#e#y z!%TAXe(O+>;v1~vCCno8F55L**$)h2Gw)qj|LC+Z+mm&ycdltL#9J2qh1*ID^H;f# za$G#rsW~o`AW&nLl)$|>CLd>{pn1k6uBx$Iq_R$Ix?G*CF9O6)Q+3reo^q#ejMu85 zEJSPaL8r=KC|47(+TJ7rP=5ytRJ=f7sSLZe^TD=wrSif!g#)mz_v~^dp?6o!&Ysj% z;u4cDEkQ8cC9F2|wu4g=4AJyDG&ECTVxCqeezfT>l&0_=S$gTHwL3ZiFT->O+; zGLF52`Kt#DtQ4+lZVvz@OE1=CRCS|Y{D;}9BlhQ<)}F<6`&dgt3# zN1zjO4R{Eg?zE%yw8sSuo@CJ#O;ckO(}6f|nLLvlbIJX8&(sq4RF@t<;j>)L`P?{G z3b{_W50Wa|cE*NCu=seuI+I9VlG(L5A(GTmLgfct%~#!x(_YHV!ExD(7cmH0^{IwZ zv|JaP$aA!pVb^7`_R!Z!j@1Va2O&c@v`$!Tiv||QPZV;$<1}cZK#lBUTpGj#h4hu& zehOiY=yX01e_(nX8W@4mObm5FPRCRs?8LQ8DemR>6Jp++B3eQb+SI&wuLtH6(%cCT zV$FUNHHBAVmkL!U`Qh<{5eCYJwbYHD>nt9A#NHb4UYd_qCPt=l={05;jZ9`Q)7kSZ z>Bl9Tru45tv0EM-GOt0jXizY7XgqU1HB_olHf)UjB5zFSYiNJ#jVY~$@x(pM?0m;1 zfppNbNgd_qDqN`0iaW%!i8*}$6gLX7S}S?1>ALmCCRYtakH}sFX4=fgndh;xa$ZYR zt^;|!LkLq}Cqldev)IQxR2#wqH=CfI2J$=;LzohAGXWqvbmW3b!vW32pB%-5^(iw% z7-&qq6FoC+M;5Qnkw*KLB$h0i(jFHOBv)7@d_A?8|vuQsB^-eCYYOheNX}j(yz;Sh+ZZmoIatG9?i||VKm4`^0 z$8(@{XT?~OBfH)S+aO;D36cC|yl!TIh(ihy zOPTo=rq^xMiRusBkaFn*^U6lEaQqpQB)vI>6D|b+COb5YQvCDj=VS-ds!8he3VVbF z`0~Zmv4POffzYE;&GQbY1FcshnF#qpS@Glu@OjpmLu5fpQqL2fW^w3z!wzJr0`Ybr zSF`CZOv_=}%_9-Ay$5ZLPh_0=_^Mrs#d+fjyJj+>1(CTKi%Y^8kfP7s!$2Q9#v5(Z z-U>86HEArCOLsK}Q*8y&*8s}ZT#A2F&mf~>^X;rJ!y5TV0~VFh3^-|rH+kYg_rF4X zFpmuTV9*KN^XSuv*)W;Xrt{iQbo$*n>C~cwB$X&9)5YIS7yhK;Z}mj*mBufhc1cR^ z*`jb0J7zBzJ7u);tr7bOMF!a7x73l~Zh@?&7G7x|`Y`v_QFeQqs&n6>{~mZu^&d`W zWQmn^(YQTfjHi+F-QD-T3^Lpqfu*tZTxLaZR7>n~xzacotM=VEs;Jx$eq?HwQIA7I z5^5w9RejE{%a1J3B@Y}vf=>=@9Nes$0>zn0av0d;i6&J32EOmZi&cv7`rKQZ5^|AE0oRTm;C+M%(KVqI_pFcB zo34g{l1h|8GQ03h^2oVNVS+%88_9!3>4FS0PCm1O)m?;DPZ$$&(9D1u^wm zyBQ5jzuU-SP*O;54oG#VSOM8LGhkjW6xD`~87v3910{)K=|E!|=jS5h`-{NAJRZ+p zeMR_^(D3H8A(O*JJ#?@eccg1*eOjW2wksUh*Dxz8ueELBg=>ng%X-K6hS@GmVWZvH znJn9p+`CaB4WEB@O>sUhl!4iiHqS}?4WNrGD3lX<-|u-T8x)20-fbEOi;xpOAVaSd zQ?2iEHU&iSJZijz;+o|KJ$l{kY={e*T9l{>K)<}r(L?!?141QnA7^qkKAHoU6n`QK zJSL_(4!&iz5m#V1xs)iUX{m>~KxG(Cp(P-Ufwx#;d~Tgi zxEcRu=>2s%+}1LNoA@d4mhKNM$S$;?@^+4(P+E4H1-VKiWcf#ittRO#cwmynje;H^ zt}Rb2EMuTE29Wheq6HN9$f=(xWK8voB84#PP2;Incr_F~cBij1cmVEbILxinN2h3r zB{Jj77~`@SxSsqtn&bQ?d`dJ7Aq{c@elTjrzVJ4exfUy{CxQ5G4Jg_J5Mt+8Bb3aH zdZ3}YYN&8;Q3y)gvWyC25JH@a3=pGr$rL%0XUU?e0L(wpXy&slY96d^^=62~rvY%g z`6+kHX_Ed;-D`{^jqr;C`3_0`r{VXJuDQs7_-fBY)|3xAmi!sykYFHqm(5-o2#j@I zR$m&qs4s`T-JEK@U7eBepM^AfT4eafO13(r8K(3>S+DeZrB2@N`CRhh2rXd6lLnB2 z7}idd405PII@NAgaeS*7A(H%&i*U*145|e>VsC^s!&f)OTK`_2iM53Lc6Nu1)RJSc zOrI}&ha}8+c5-7}k`?NUCdHxNH7AOVHtd_BDIHxCG}zs%<&kONh%9PL;tPvNwhI9h zY=u7+*t~{xf@6C4r0MSIB>AGA`U50a+tSy@e_VEbyRGWNQ>wpi;nlmO)apc>BZrQx z+-RfyO)&fAdvb^-8eAwMva>S z=u9LWM{eFE!)XjI1OYzbH=4@xkdGPfP}Sgsa(-1XRh-KZTeF2=%!l7 z&R%maDR;1*K;NIX)cv9R?l48-hIV^8#x%s$ATUrD+gG(K35lnf52coYueB*f2r1of z56UppxG^(fz&Xstgos55o6KM$$$O+3o}50Es%l;}aRtrE%ziRp#1Pp+%Ojf%w$n&P zZRNEl58z^P?m$;H-pl>ruAorA9qAU&Tk*r~L^Ib7FC~i?VG8SerxX7=>RJ14M@vWl zItrjMZQpyXvEwt0!GgzFI@JTzGqwy#)R4~hoN8p$&Mr?86!93B|I5l8XU~q*PPqTK z>hy!g2FUU+sso`DtV#MoPbRL8jBv1x!~Hyh0eO*u6g6AgUF<+W;5mHMK6?#XJ>-V^tgS2BhadrVaq4t?Von1mP#!`Hi3J%UCt+sNFdI& zC@I2%izI{TNxvY6pcBL_QM%(>o=W0_ngSHH^Bi*A;o%Q51a}T&BQ^`d2=C|`Sm0eI z?b8FWQ|-~-P}FT8y?(Z5UsVos{;Zz$QP8K&Vw?`1ybZ3^Y}4;HuQN~hFa>K0q33aM zWFR|i;J)oCHcM981ZpH1^J;Zacu@ zT1;1cjp$)v8*iQ>^=q#elP8atCMnNeFj=-Uu)fhV$~qNn{7=xM@nsekcyHv(W<9|0 zbu_gmU1#6U@2Xm_;ozf>`=>|(Nx;<#)MkUVR2Vnk%E5>np4z-pWC(4K25MSpE2via z!bR<4z7$9#EXUZWv!Mr5=TvP0f7d&E_mHGr-j<1-!P%zM0yN89=w_}q)gbuXPg1)9 zVMoNA#sS)-ihp5ynWrGI2`OtF%B8`zGhFGJ43^FsYokz$DHbU460su zj%!ih$V3f&d?~fDt#J&GnDTkJ6!Ia+Xh16G$p~IY_C9w6iW?Yl@|W+LclRk4=k zh(RupWu7hoFOs1XFj9>!Coy+}*TKnk3ci6d2?+jFlG_De(pyBIWWn2c4EvJEoj}wgBPuD~3U3gg zE&*d{fUYp;cy%j0@h6XO3V6qm!qXb+q2=?znLIf|Pf^P-lAfI=zz0~ojU%|*HL}Sf zzs7|O@^v~)k?rG;9t{dW=JUq;hK`iEHq$3;L&A^|%J&S&dh;s7J>BVP412}X=xc3( zE#2a2sk|vN<@R05XIs^9saSCql^bm1L@F4%>OACAWfXev%!SaxDKBtc^%n{SscjrXCaxWmxpCDTS9_ zBQAEvR4*6=g3zv(Sg6V9l$-H$4r3@ZPp0tc(7w2xBsPXa`<7$-2NfN6^Qih+mkSCI z5@w9q7olT?_=e`3o;tZ7iS6eUnYrI3@weq^TGn0-juYa6l>Aok2g;Y5j}^W9aQy-t zVm%Nc10I$#o#;rxZV?QEr+q9UrXTV=-${lM5U!CsV^+BW2@HVo9dMcOOiwo!L|F?T zTglL;crBUk18p!640W$F=k6J#rt-LM6L@jm;8Uu4_7|4TGIs1M9Y$I0jv~iz8!8JD zB9&0?x=zA$Mr7YGQL|b`JcCTGS#$%9RK)0;hyDi<7o&~^SgUb)gWFj}gd2%Wd9cO+ zy}FxJgKZ6sH@V~#*OsttS3$N%6oX<^WXr;SvChli3dHLx^wmBdhHpE|tds4h7@XqPLN=#;gkO?=-tWPT9wd4G*9ETA9YF`IQ-Z?+M)G2Jj3 zmhwtRDJ1#ziEj?7pWQusCv~8V`qaveDVw}l3=+GeEBTT34oO%BV;%)`~*KY~D^!1q8480|WAw@NN2 z3}$*af^6{bYN|W6u*?UiTI%SVxPgWZpIhAf?dLdxXGtZdy(wPQ)I@&;ooCdrr$krGKvOaVMv4vb)W?R!JQ-|7oM)85 zBO>h-tIVMh;-0++#Z?r&hh`?L~$w?T?6IL45S7#i`NnS{iLnJR?x^)+u!Uh!i zRGoxwso2jqq}Ud_oWOF+CC@hGv89 zuZMw>06~JRGLMBzNzfi1UyJhDmmyaGak~S7dLAx8`B>#+%0KOxJlzWNsUP%z+D3lc z%mYigBm8AgsK$3k9a<}h$reSEBA$RhNX`J@s~@LC5hdYR1-V&BPL(l<>s2NNxE^jOsd5fueHBniij@dQ$m@VygkGG`?gdLJQen@Q_OZJt;2Qeag{b6$rXLjj_4m^ zhAG?JEi_3JDZG_t`azm=hK?|7*OmGlV}iEfQ1-X&^*BwM){c?Uo-X^EP)zCF zm}~mN>ccY;vKHs1Uvrv+##!g!WLky^w9ZfzJm5tPH3HS8We)jN5T*F%Q9_#bLb?ND ztIWFKwCq$P;l@DC56mx)cn`QMMC~Sgsvz2>r5=0CPSQX!frnCE*Zkn)>Vn(A4R)rH zZhSaK0JOb}C<&A;1`l$`*rSjgN?qWm&RRk!Cu%TcQOg`zB zH^0@ySKwCi^637XYD143P#{oHGgM_nxlLYfU0twY;0Fvk&bk6$IMG0ENKj#Fn&Ijt$aeSjDMyKU_-9cCb9Tvq;=Th);fb-eoh%#->Op z2gqfnI4^-V{)^7*e}!OcEQWdY+6<;N;VsaWca^)6>cn+K3t?*As+-^-+)%e(NNOL( zn;<0QbZRx2X7ALh>{|l08RjGIKTggC6-D7a36cfJ4r?I~{j@J}bil9*=o3ipPye|Wc|GZ5kX;=ItY8ibCr3nRe zOQIT@P4n5cWdRlKGnZB^F+qPjRu-NY?urMpI>UsM+g@viS}}+hFhyr>z3=+Wx_J#h z7%srOG151m;|*&*bIIrF+nP@cr)9wBD6y1{BO4%`0EYib_cFPt9!3ghOx|T>b51S& z!v*Zya-@Jb^D7PwNmq!+U&(!F@qREnXi{EYk^z@WMc_(BmQoFqHEUVYGpax}CpNn9 zLX~_kB5oCDVLN?gt8%DWF+&E`J!BI7wbc_F%o?(U=M`0Hhth=SJMD9bRd;io_nA-+ zdoYqrQx;CR7;y~R2bEYYp4P-y*A8mmdO86RAv!0U zLE(cAmaG0g#%ogS1=MYON!#uh!fUq6Ye;)@XowT;iutq=65Le`fT0;kU1&l(LP(gK zACrurx^P}CKTORUUmWN( zJ|-w>U8kgjr#TM}1?okIqf=m4DMPa{Z6GO6#a;;sWD0;qn@bzhEhw%yd8W#pCYj$| zQ*tI>K)vItl2HMI^y_&G7aYm*J^N9WiwK?wb+3i0^@{|JYFP(#^~?~f4g!0lmnoH4 z?a#2;5f8yW75A{;@6!|7Fm@ca`wFeO--dnfyhp^b#>hHr6P+&j?t?$@nUeX_Y^VEX-2bu6eT@Zz;iV++3<&o%2UAH8L(r6vW>Ls)35JqrpDO#QJ zvL#rSd@Vpl-3R3G9C&At1-d0wA@-v|kATQ^Sd39*baQyet0OA4p|)@awfzX9u>ISn zZ=?Q_yu2qX7i=Cg_Hmq0rO9R{GOR2_HFNT7-bt$$62j~yR2A2BbZtv>OsHToS z0L`ltV5C{aK(0a|Qc6Z9Z#Yqk)uIW>ya2kE@+*V#`jo`-0xNrOi?2Wxq*`Ld=@q({9iQ*&BVzQYl*SVV7%6^v;NYO={XGq zJtk4vi-cZxndPx#+Ef;9!teGPjNqV8L%Mp%9q`=+N2m*4Z}$NK&37wCTy+pIR-^AL~#0Lk?oB)mQ#89eXzZhiEYU-^5?vbwLPeike)Yx6)Z7x`5iaB=m*L(cGhZ0*31|^n)*65QC&BorkVxp zv|kk6P1&%YTRfE*#SWFoJxi9FG>y^eZgY6A*M$psdlw8_H%%=SZe=6cfX;Zj?%ShT zz_l3-N>-8MNeJK^IfsO_!QSTGs5Uv9QV2rQ8dse8V<+ec^Soa!*R%t)O8pt~%AM?z1K}Buj zO|JuJP3b1@so$raA695=ns^u8x{p=$3rb*kqbI_#YhHyN6L4GG78Fm~yd=A$iF9Je zR~;^Hg1xcI<Z#_$lLe@{`qbSuwQRCimxgmfo(g)5{>k|b&w$muX1@e!7+?cs^<6||ht z;!hj)`ZKgN0uc23)e~Rt?B-lm(LBX@p`$;LEfBi^+hYMRauuOjHrT(#K9k6~CYDYN zA#T}Xr90>xw(MzK^?=iKyruliFJ>?G@$mkj`j$@-Kus3ZgVKtw%f=Moed6hn7COc z9I>yZ(l;I@!-d5Z;UTV=EBZZgZ zz|-d!O$_!#F}?vb$o^W%L*)LL!9q~Vk*)wkN_~KUgs z8beQqic?L^B2ea9XfJg-$T5m?;5dk2Em=friqLGa5CmSLpN;`tAw;pgH=uS{I0BYp z2d>2d3w61Q6=Ihb`pP4?0Sgx8AGWOhJ_ZJP1>fbq1hUKlcf^OEAda!KKl2iXYv|Jd z?>AgvK&3;7jw>Rd?gK*J>jNn=cJ>dZ<}ktmCIb*jij#myBr0VhuuYX!4qPa!>?780 zg~%jWlg7p!TrS6HMJq05&RcP`TGGV?uezZ~R89Z&At-N%L0I1r!o))vG-!bSD?X3{ z1k>SAh9Ua6BO<0Yb)yVw(G02BuV2%kG_mZMXh5fq2i7hZHGCnZY>a3Y5g!%8LYW%G zS3LRyN|)F6RqvYQWI>{l)aU>x9mYsYoyjeFv7f+E5Lx@kD@RgF^%m5P;9 z-YI7cS_*;%8~Ow$8=qVyql}K&!KM*M=p{ne@n?m|FtbV$a;T)7B7I0VL)EuVb0m*a zF-AE~yu}TE`CKcw!3q?pJ$jMrAYH9^P~}|Bm-=TMkCS3P*_{|emB9ri11tqRog_gD zob`DEWaUn*#~=s-i(JAhfFi6AQ^6rsSn|0F22ou}hOk?f00RU-Sfp}AO!GN~ESD_c zriulVC<>X0q?J2ClNG8)&Zk+d#@Nn?^>Bt@@xm??A5*yOX^DmJX*KFGB;Zef3m*;p4Q(#bkMJkMyA(#YVb;c; z{;J6kP~@b$ppr7&ifu7zru$3I2hgGM;ICiiIg-*X3x4|>1PE>u4;G>o4(lC(++jtK zSnVCx`p87^FX7zbIkJeA%O6J82;qV_dUlz`ft9+C0#qX)U={z|EC_!@u*k5yP(sn= zV6jUn(tk-Gd#yUDN5U&@l|QTHSPW?_tr!YMF&UO^I&??=s=kT8f0duwP0b;>%JM)j z^dpDFl;R*EaVLyD*CvH$FpQ}oo`K6nOFk~w%WV(b(G^DxU#pFWm>Mk5U}MkEU+=Re z@nN;8s5ZQ>P!K=*-j$7k59jWs(3fmJ4g6-^1U|eT;?svMHiac#v5|8Ug;OOF%3LnU z@BLShHhGe{aXjPfSwlCW?VA2bl*y+6s-xGe%%dkJ0aJM(*JRQK_=?{6u^Qv%AHY-C z2gr7{4hhB*F@O;it=!>V6ai4b8kP23q+29t9ruaysOJz!X0aG1+S3FcN_(|KjtS*` zT=fD;p5ICYG=PKOZvS7X{WD+;yQbg|6Q&tMlSh#3e)@9yz`aJ$CAJuEDu0;XvBOgk zzSv!U(5j;fd~c%bmp;GG`>3_Eqf}+CpLl@j5^7$JET9@M$o@Fl3`V0Ab9nx+bz{$F zq&dK*dBD|Q82cvmX+HdB6gv7{4+#ajlMs1S&Gy zPtMqu=d{}0WZm>HZ5{p3|5tVuEYu2a0s;W=00RJ^{a*{_U~KfiaA5yV>+2h`vzRcm znwqkjFf$l3F_^NmFtHkQaxyWQau}PiF`E9zfbFQs+8?nY^xV}Ow1V3!L=sifOQklS z6U`6_z>B(f@uD?}P+OTO7Fcla>hBSnQpzRBE)m=mf(1nkjb)CF9XLGxZO=x#3BkhJ zphZVX21U}PtS+lgkKW_c_woq@({)i@n3SVI&(-PX_fPge^E@v;520#N!Te}Uq7JOv zbmO+L7GmiKK}Prectrem*^(|@pucH*t>X%*eVD>v7G@*^@m*)L4YkM!`+^~y3q}~K z6ZhYNqR+Li{ha>$_mg)QT%&5U+%oGU z3A;v~TfA(miys}F&^Kdv-EG>7_KKE`>PHbO_QJ(LdBz7?Hmt8=ROu2mBSb4Q^IzTX z7CPdZ3%jtU^AX-_6C6x5Y(rz19~1Z4y@Kwx7U_+@LWstK?_%dgR4#_*WrF=n{c^=P zvuvL)hl_9udTASXN;?F`v}#V!<}8N>byUmi$tkVzfFZ zvo@JQjp4GXO{rG@eC1Ytu$q#pknHOE&uB_^C1_4LvcjNZu-yKf;7eQOa4<1OPbQ(s*9FNDu;%E2J6x8s^Am=atf&(12~+= z%K554zT!HUUdyC(M;RH>S6(#zk}hu3jZKQC)C!R8TiZ4js3(R$IM0Qki`EhWHNj19 zz$(0+#7qd*tz^d>&o(cU`%$8045f+AGB0(&6Qxj}Ky~d*r4)yS!7@$6Y5Nf&b+92) zB052yNKRQq72uGPl;w3J#B)E{V93*?OT#hwu*4}H%osCt@y>q(-RZe#Q7aLT89Y z^<)Q+AtBEUemi5HO*6$8$CT**UL|XJ5{tmko%L8*@^1-6yW>3@AVzjvfda;9VmbP` zJu}han?SqzE5oqUzW@wul_CH7{0Rci@)|)v{wzjpiD8WYbMuXaBSJ#Rb6^lBkl6Tl zh$Yf^_K+nEJs{Be396O0+j8+f!>f0KToUuZ5n&#@av*;u*Z{3LLKF}-i6cn)7#R_5 zkt8x0L2E38;gyN#qB(LNLEI82i3EliLTYHtqv70?g~F2!9t<+GUo(j$^p;=pNd1Jd zO_6QJdFd;+?;ZMo-Y;9ENY6mX000PV|FwesSKOS9Elh0*A z#!ZuCPCvJmX=dgTHe|)(A`}E>ka_p&-Qs1ILs2wfn;5fneKQD?%#zBRm4t_jn;gFI z`}q0ye6{p_dboN&opSd%@6mo{Pi?iUv)}Fd{Jr}<({H=!Iyw3tnc0fI+RFaUzO%FI z`wZv*x_$Xww@df$+|u8^+oQ{`yY<)kc{!EGr>Ez;PVf7D`1u*CetMG6=ewyBJGy{N`?|or_3-{Z%4W|0sxw>r*a*_w_VIE3`x?$}F8UVzK{?4mIb#MC*m-%(C`9BY@ z%=n5=hi-MtrZ*c*b==Pnk50Y=q~QCP<=-bapMTrg@n6VZE-!DgoB8#AI{f4H^=Gm7 z@a(?s&$_I2zh57H{CocGd;jz6aqIr0v)23Zc5vwQdwM;z^K@bMeH_Zq%O zTfcX)gTLlpZ0q^$=kNdUdiW#Mm(4#spY7MvJzCKF(eD3){@u{s+wJp$-|p_OweIzh z-|jzZu;Kc)vo)p8zrA~~;NRip|6n(__CxP-`|^H(9!`DdAI5o4`4+duzxR-w_x97C zepl~t@{WI3UswN|8qdqk?>D*f{o=>c&4-`c?3a)4`t|bu#qj;?_B$l*OZOWnPx~qp zGdK2`U%!xyZ)bnoj$i-Nub{QdLf>oOBN z@ZkVx{d*aHUw0hEugw7}J>8Y2EoF=RNLPm9rN3=sJETUz1T#m3+9b{}&S{A#=L2TK@g>UF?)^|UX>@Yhx!V+{SY&AF~cjcwpYi-Ps7 z*zZ=!rL^S4X`@qjnsub*q3c-ZI`TVA@=SKUG>Cg;UKl>R1DXyk-$NO`7L2E26sK9g z4e*NX73%@xt_a$7LyU)E?Jz#;i>^o-3voNq*LlVMzGYr$MBotZYqx#4EiFqv^UiAJ zy}wMy2`V;zf-z*C&C38vHF!rm(nh$?)9|>KlDs>6?GKjFgU!DUBRHPA5RDDm#jtk~ zZs2uKpSBB6$@Tq&&y49AlVaas4y-IIi@H(W)ZX|-;>dpLUMA*%S?6qExXIP>L?tV2 zA-U;+6~L_`{kU{;r0eF!3ipZ7{N<}^8!8X*d+8ldgr?Y#Y@)o~uIZefj(sy8ZZ!)d zQIP`*Z|e@qQl2yH8?USiS{q$qr(uJ8$C%1$GF>x)Jgd;?%smYioNS;R4! z)w@pzyui6LJ^{wGY`%^)mCcc0xV(KGAZNL<-N7fGel60iCk+;S4_G@?E0J|EIgNjH%?=+C2_~ySokU?y}L14=}j9yA6Z8%f@AJ z_l*zk?kVyy-28LDp7+DsmF`M+Qq{joD(R%xv)0p1^uVp~u%`h%2D(1FGt)VN_9-UgTK9agY;I$G%SyC=u63euu7(i7sJ&{sbB5h%1 zSs;0OGb>jmi8abq7d{XvVSdJ2#?~Y9N^76-j*XrLcMqn3P8-_=bP;1wlOxbPpY3UQ zda$FJ@XqKxHWDS4K%c~XQG79IvBUb>`a4q`e`^`ZCC9eig|a~ds`1sT2eyr|ScC1o zjdUr*dSL?XA7^x|>j78#mo=d@##|&~quX9wT?%NY=i-A6eR`hvPq}uPhE)fVQZBBpvH|ZBs>*>SBu-t;u`2>ASDBVtY?uqG z=h7^Q;pmG)u-6sLP=80$V@GY*`gZ~+Q@@EL6D>#ADHhWZl&^)ik1oa#*MlM$J%_ML z0G#KcsM?lsld;8s5t*K*W%py%CFEqT0a=iExX)Z?h!g9>J`s!U;p(<%(hHTaBzV~b zgpwDqba<|(=8x2ofC5jVx76Q*W<-CghhP8!OAL=-72#8>#G*h})>SOfg;s_DRQD-$=7do{e^sA5TiCu2LiD^P1zAf`k zQngC(gn#L5`FpZ<fOL5e?}_oF0*NtqQQ) zfc7h(QI}MXg}|?el3WgQcB&!D+UrZ}fAx|fkG=c$QiaMo4RooMip!AQZPk$+jIr&U z0mB9H@hcMMCerpj1j9j~PH?tsa+7Vdj~*kS3#Ui+#Q_&PnowmISNgWIS;mbVOxb%m za`3gbsku1802A@~en6Yh`hOYUzZK8j(G-09KNA-^ujH=dZ-xe|?6S`Z9E(wpTeI$$JUh)dQQ;z0hp>iv6Zqi_0V|y3 zbVHm&{mAE0_ls>bz7cLqFc(B?NL8l|21xi3+-=3+7{X+w4tsi$v$L2W9B=K&=_}69 z@Eq39+gJkl_)_*Y1q->|_%d3!}~9;sNS14PDySf63u?nL{|7 z6{@&dF(+&X4a~=^%CE@-63;@#4&|N-6IfHJ=B}35+UFH!Qn(!s#$yy05P2Pq>9Z5a z%(&tMf&!R6)188mw{!}37>VDnJ8EdE5n+!Aw=_8lFtr6gOHwg8m{X%+WiO@%q8<(a zHq0(?^9eM>oRU*}Q=@#&nMN89EZFN93qO-ojy^~x6Hn~hCsCnK;5l7&GhLyvzc%mQ z#7ao0g9@YN!~&1%PHgVtc03a;A&15wc_|y7LgeWBoV|C=ejIl~;lw$yl_CS88f^-5 z&aUSzFp^B6{!I3S&=v&5x8P}xLAz({QT$EItecY$ z*2pgY6%2~f40MTlcKQ3KiW|;_44v4H%Jc%AE2!uPA*l_Es{|NdFDN`E*i5W!n=oRY z+tfVCu|eR*x%I^%ScQ|%Cxs}sJZ*L0j}FrkF#w4IH7^tbU(f_QGulooQBF}Y3SL|- zkQZ45ZnnuN-}0iE==QfSSb~N%FHa^>W*XA9?5z(?J{0QIs2w-DQC|%k)y+7%0Hxh& zz`(*OMizml2Nu#+MTn%n3*R~2*t>$0dj&Bh++Lj<-*Oz9!owESj3`LH4*hw;B#qdS z%#QsF1;t!RQl=hf9r_J9DJ&`>d=R+>Z>cT$P;{o086xArU-k#_OJkl4F={e^jr4C5 z-}FbzDSl9<;(5?nJltJ6FSNiX-7vSjGA`0qUN~}ZdmttwRoW8-ikCAV3q{CmYh7}G z!VIiy{{wUk^tr+antW^}7A&WY%YZ>l`96AIfERI4%|x4%UXC?;5VnORHVu3)KLRR7 zK7h5CR}VC6g{T|4ZKeY*j4qeAtwnuFC>UMJH3gvWknLe4 z67V7y90|8)Q1WJ^>0~d|2$&EDJ^N8iWV*1o5(mOzJqFMlQ;g2wuAqr>WPudnWbWYo zZKxZw?AI}5H`-U+H&qL}d=NPHeqA`9JyB+s?!}l9gr1zoB4(l!)#TY*^y3FDA6?z~ zFMs8Ib|?&a_KT>4ts?fT^S(y9S>u93GN`~G5gNX5b`($W6&^>%W7 zZ|MEoH+R!&9$!0Xr4aCe<@;fk+chy_!XtYJ{~0xjfvDe*;};kLaoCZ_OwkF(hr70d z5=|I*(R4P3Uf-O%5&!6Ey&mJq;U6Am{nRih(?vOho83nGQ}Nnt@XBoGcsB9GaDurp zYvcRrTm*-+E47y%BC`JxRrIa;iDa``=#p0uu^)o9_VtdjZhR00 z9rtk0W0|lgs>1gfYL5Pi>*haS$wgVKDao}e#z!*vx+X!v94|eQF(`Z zsBuB1vNzoA87q}1)-=rfLsbe}>Fa{CnLf}|zmeJAKLOI)nQ{~<=*u`{})F?GJwzAZNas*Wzw&u8i7j(spV{-nWF#HswvQD+{usq(= zD%{}<`esg{^mMc`pi9u{F+1LYT8^1V6dHZJ8Ca#oC-3J6E;)Mza9#N$M^wRvuSB{uPq@5!wQm&4iACIDQk z%T-^7H|*t~YYT95U z&Byo|)h)L;rR2U~<8%VQ>o8evtYr!-$(Qu&-Bl?8e>3z@ILWG;=E|;~_JmjSBU?Ks zY8BK%L8iG()6SQqxMrBpeif#zA&bs{e1)k9GiB$zyx!RyI1A3y)=vNU3!}jX9HNxq zIVs2AjRQoL<1Vb*yV=xOz?0OK(9-%cUmcC9OsuNYFvA}jWrOb1AR|s%fnPK+$p{1o ztEyB>a>u|>Qn>QitO_3k*19B7X+^6i{3 z^7?`s)4e)T);4xPhq7K%wy{ZqvI+HWENegW2`aBwHY)N{yiu zO}*BFC%5o1LH;j)$rONSd6Lsxqf&uzsS>3>FbCi#odbAD6^MM|cE`La|LC{0KdW}t zD^UtpDpC5CD+LjtGs37B4^$;v+2#X8=|RWc)hd*e5|NJw5|POQpWe$F<^9; zs29Bb0n+RmHmUcKEy_O{(x*O_7B^%$ExIQ|r5WqVTNcz9^UOf~3^UG)Btwkey@zA! zAwH%dx(HKz#CQ6{Kc$}WCr=s%YdApkK*4Z8|A=6xc>v?_9^&ETk>{Ozcb#~t3RW>P zj+X%|C8V?{SJTyy|9Y0PCh?>8NzHhetc@Te&GDXjOy6uqlos3*A^MXI%#Y|2wA@^? zS#6e_zj;g2ib#Kb2vy}5q{ftWXgF}haoYtSmwh(?VZ#NH#-2H=D)*4K+4(RNV^PYq zQQ89|6L~)ntazrHuqTI~51EFf$Xszd?QL@c$%lvq7{5;tcw9Ekx>MsD+uIgZkWV@( zFK55ITanqhh%s&zLXr(mGj!6Lc=@Q+2I2Poz~ zIuIOp=8pYcjp(@T|H)_@Lk`I>g&_$dgb^&&h{lpgb5-D`cNEdOHztEnt7&V}q{IZm zD3G$SY0+$VP-VdV-lF4)3%tu{y(eT_3V6h8cxu!UPHuz9H;n9vhq`(pzhsFoxJ=G_ zratr|b|E`pW!`m&hq_GmdUkGnKvuL<9W9B9GKY?>M%db5I>F}zj6qHG>yLwf@(>>$HyM_>@8X6 zBQ^Zc9);?&ovSJ@WUlCv za@e!02t!Dnk|Z4)38qtudum%bqJt~UbaVb-kG4W;V6;^$mAW&Uf(z3- zq_;9+b9F>;Zql)$++AJ8{y1G?~>if);ce0j$K3OY}~~pz;7T)=ZeXSTx>Ersy}0dXdwJfKgi*?E$`# zUL2T=Mk^s5Ee^B9_RE`wSofmGUCKqd?WlACMh9#fwlL%3?L)psmmH6LV(!>Tof}4~ zxdM2Ti0=av9Po0-yKS#jb$Vd#ay}-^FOj%7_9p5IE;@JQQQrtd38xTSglR58KxAB* z;EUwoBOX07m4&^P%05{d%(3L1m@%bC#Jqa?5bURqkk6vSTm+2aQb81@js~9uV_K#h zV?dtpn#7BG>+b;*6WS2_bzc;zo3V zlnT>H5|hEq6mOK0!g0bJZl&ho+Mh-0iKV7>YBNm&x{>KZ>`Ya^j5lT7Rv73G>uVr` zlSxDF-D*iAmqSTo`)^}XkM%z;E47Utfs8BKn4nF|%TdRrU++;sFChUiUDYQt&Ux!k zs-Nqmq7ut$Lon}ovcgQc3<=?v+EqCim+Y#l!6Bd#USkz)@D1u-(|Z5Xt~OD-yXkv1j>As@80}NzW<|*4voO+7 zq^y+Kr+QWHLkyhyzU2|@V7%q{^^Q%Ilg~LXnO-%vrun>@A~oNl6boSfB&@1Dfl-Xu z1@^4e$6ll6tRp=tpMO-I5_Mzya=TJWK2h4P6T1yR6g3vNBt zznFeI+MbomvnYN>NR2mRUv)W=WTiy7+(P>0TCoHKKbPEUp)tQ^A;31&yviFLRcP60 zbz>I#w`rg)W2LxPFj}@Ny+OEWEb^ADCLNaANn-s4K6 zeGiUOpzZHpO(q$FkdPFb`)z+jC=2A7MODEsz6KMN+lHn?-G7fsx-5tcKta!P9JVWv z{ESV@NS!zoUIc?5~NYa8mUoK4fdxM87zU!am}@<*Vdy1(nt? zzL^@F*KR5Gqu5GQ*h>}Fxla@Oc7-KN!8B#t@ywxAPGq{Z+UIr6QuAeAbMd2O9U&PW z-`%Dyf-7j*KMAIp=^h|@Zr*^sM-sJdcFP6ln%Hsu?B`A-Sa^!-;okZ)+qZw5FrviR zr)xqPkZN+W7CLDzejZMj-W<@WEx`_s+tSAI;Kf0vaYPtvWToKWEAJm$kNb7Y?3QoA zGU(A%eUw=j1&}DQZkO>{`5GiHd@gt;ghTuN)4T$Pf-Q4(GX+ovfnkXYHN7*1srOJZ z6c?D#N{X{RP5UQjJ^PHyR%%UcHAw@fK2;eGF{#wPSmC;CqqiJ2;v7LlpLQFlHy&q3 zJNuhzDvn`2hW-nE@R?7A%bgz&!i3vEMp9^1S*B*yl@e#T(Ap90z(qP#znqu+Ef^mH zEPH{!e5XG1my1)pd7nN6e<$C;ivIafU56T@B&D%g8w%eR%8oBiief-r+{UGlBjTEB z7tc30x(WAC$7tyn^#b~>Soq}=A7e$SnFjtwo+H`30((9NE!Ed1ZR;Q~jVRGEZa^!K z!v;#WAGht>3i8nnd@KQ1t~t#Q7^;GHFKhBij?uZJ)8wUNt!E}STQ(YCDwo`JcB(*< zSOX`ly1*syY*;N^e;v;<7>m2WJKRJBlzqBc~BY$P|UJ-vKA-Rgau;V(_tr zl?2BY3npCZ``av>QO+*8Xox@SyM&CaahyxBP16tzH~z`9npwp7uIikJFNvVBFIg$(JvV(gvZd-wuSQt+fS2F?)>nk z!6}@A?g;oIqo{Q#8YU8G$&l6F87k1|#?8-jW@}_K~GfyT0MaMQ-b|ZlgfZP3p^nQ>lf9vnM~CY;$VISATt3 z7_exb|1C>~Ab_t7La+|K7l8lSCqW&Bym(?Xet*h$17jBmHOnb&-}{6-CPV3&+S2$U zBV@8va%kKP-(=yOS59M3+|uXbLR5Astr}6UENrq6sWNd(6~`7HH)ww*s+AG3l3^Uj zDN_9^Li=k`RUvex{~Te++VaMzwL??j&JNiCDG75g|45Q9s6r>?y9Oa$JY>Q>)vMI3#v%zj`LRa%(g>KKDGOjl zV4SZ7t{0A>iUzm@2AGhc{$^ z-7$=)Yg+dWfKmN6S<%szl+mWGp~|TIMXh3_5+PiCoRCa5OD(9c{#0DMzm?NjESw|8 zf2|k8&#n`!+p_mdU<|?y>@d1EKK%YJFVLnL(XdZ-c3k)Q+r{Jw+L$kYGWI|3%D|$iZ+#-fBz!B#}U?U7r+3J>ntQCq5tK>!~ zt>rcotM*pX&b4J39O9QWW>&yG`-1AkS^6v{+zY630##5J=L_wcv|u zdd#vCBDTIqNoS{1Yx| zbAil}B4<2`6GCZ!V7<2(ukv}iq$dg=*_jvrt5T};k%OFW92c55{Ss2fHEHr-`Kr=P z1J{(;wz#tT(%vvBL-NFY1Z6W;_`-=*F?LhD{wE4A$KqU;sRR&4gZoO{OUh`BtDDpW zHy(&gaY~%nrk%g?Qw*tj<^3#y5|2FIL+8s&7U3#d>BoMs%wT6q!XL{}S;ae8T? zOE}G)42uz`?yMK*vCuwBO4BD@$;5kZBP#BCNS-%kWb1=1p#7>yHv)`j{mfDDN zHG(rDE@M3uUbCc-&(T4pm1iw)b67A3jt69!1j+kLR7KHXMT=s`E}|WC@M{DoXfCdj z;GNE&Hah?X?M2*iP=3gd9$0~}E2Buu7$t4(+}ujgICZ)#VM~S9j7Z}Z=$xTJcT&g- z7Ki2cMxgOcc((aM2Y-`mOgaA3CPC6@gh4`4Go#cXEsQxvQ?QZ_il=S*Z{iK{W?i$N z`NLX1Dm-f10SlLggB!tWXy7$ZPK88)UZZx3VRHFCu<=TNXyJ6@Y)=8tooBx}#oVk# zs#+tx!(oqr`LH(mzNxxbWV0xc;LpY2*ggCt;e!)|LIKGYMc(w^X`By0YzF+-qe1Bto7!i{(`Or?-nrqF#c zyQKXoFzvbmH8n-2@U2qjj+%d|OZ)sVy}4&9hxqX#_gJ1d;-}dfFX($!ao}u{&@m7 zi@5~%w$W*_(6(f?O?95JhD#okwovWkyMjv02!>s9nkwY{LaIUQN2*^@m=3?3R9UAl zuvX{c4N}?>D=oySzTU(&<*FjX#N3+vazz^zMKKGj{Wbu<-q>r!I*lt#>r}E#BmBM( zRrBR_qScu3rerP2g3C%?ZnW8dIO4@)qG!#_!cJ;g1}c{a-%2+5M(lzOKR1$L%tF5y z_j_L$s$hi-z2rzL)54H#7NaIYmEw2(qCW``rg3wRx3U53Y}1K;<6}?~386FG;1Z!$=G=F}>#SdWUW17PCyU*hEJKSL^9;jx2 zK}#<0l=^V+ThAiuIKHnDb`DDGY>pr(7*6z&*qfdAwWmp*-J;9C-0W}ZZ1%rtngmLs zaOu2dWbhb{-@#Dgr%D=)`mftAQ*|qCA)x~85q;sZv;70jzq1bEvwYgeyMB!Qan|{( zviLUBfBh7#`D?li~S)wLx8AJv67@rsMfY*fq?L=g@Hi*c>1pc^55OZ)x^=w zirL=L&C$j6!=m;79sB. + */ + +// define which pins are connected to which components +int right_motor_speed_pin = 3; +int right_motor_forward_pin = 4; +int right_motor_backward_pin = 5; + +int left_motor_speed_pin = 8; +int left_motor_forward_pin = 9; +int left_motor_backward_pin = 10; + +void on(int pin){ + digitalWrite(pin, HIGH);} + +void off(int pin){ + digitalWrite(pin, LOW);} + +void setup(){ + // the arduino will change the voltage on these pins + // therefore, these pins are OUTPUT pins + pinMode(right_motor_speed_pin, OUTPUT); + pinMode(right_motor_forward_pin, OUTPUT); + pinMode(right_motor_backward_pin, OUTPUT); + + pinMode(left_motor_speed_pin, OUTPUT); + pinMode(left_motor_forward_pin, OUTPUT); + pinMode(left_motor_backward_pin, OUTPUT);} + +void loop(){ + off(right_motor_backward_pin); + on(right_motor_forward_pin); + analogWrite(right_motor_speed_pin, 255); + + off(left_motor_backward_pin); + on(left_motor_forward_pin); + analogWrite(left_motor_speed_pin, 255);} -- 2.30.2